Device utilization summary: --------------------------- Selected Device : 2s300efg456-6 Number of Slices: 808 out of 3072 26% Number of Slice Flip Flops: 453 out of 6144 7% Number of 4 input LUTs: 1447 out of 6144 23% Number of bonded IOBs: 64 out of 329 19% Number of BRAMs: 2 out of 16 12% Number of GCLKs: 1 out of 4 25% Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ m_clock | BUFGP | 455 | -----------------------------------+------------------------+-------+ Timing Summary: --------------- Minimum period: 22.336ns (Maximum Frequency: 44.771MHz) Minimum input arrival time before clock: 22.865ns Maximum output required time after clock: 14.876ns Maximum combinational path delay: 16.053ns ========================================================================= Design Summary: Logic Utilization: Number of Slice Flip Flops: 449 out of 6,144 7% Number of 4 input LUTs: 1,424 out of 6,144 23% Logic Distribution: Number of occupied Slices: 807 out of 3,072 26% Number of Slices containing only related logic: 807 out of 807 100% Number of Slices containing unrelated logic: 0 out of 807 0% Total Number 4 input LUTs: 1,451 out of 6,144 23% Number used as logic: 1,424 Number used as a route-thru: 27 Number of bonded IOBs: 55 out of 325 16% IOB Flip Flops: 4 Number of Block RAMs: 2 out of 16 12% Number of GCLKs: 1 out of 4 25% Number of GCLKIOBs: 1 out of 4 25% Total equivalent gate count for design: 45,614 Additional JTAG gate count for IOBs: 2,688 Device Utilization Summary: Number of BLOCKRAMs 2 out of 16 12% Number of GCLKs 1 out of 4 25% Number of External GCLKIOBs 1 out of 4 25% Number of LOCed GCLKIOBs 0 out of 1 0% Number of External IOBs 55 out of 325 16% Number of LOCed IOBs 0 out of 55 0% Number of SLICEs 807 out of 3072 26% ************************** Generating Clock Report ************************** +---------------------+--------------+------+------+------------+-------------+ | Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| +---------------------+--------------+------+------+------------+-------------+ | m_clock_BUFGP | GCLKBUF1| No | 380 | 0.279 | 0.642 | +---------------------+--------------+------+------+------------+-------------+