Device utilization summary: --------------------------- Selected Device : 2s300efg456-6 Number of Slices: 661 out of 3072 21% Number of Slice Flip Flops: 680 out of 6144 11% Number of 4 input LUTs: 1182 out of 6144 19% Number of bonded IOBs: 74 out of 329 22% Number of BRAMs: 2 out of 16 12% Number of GCLKs: 1 out of 4 25% Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ m_clock | BUFGP | 682 | -----------------------------------+------------------------+-------+ Timing Summary: --------------- Minimum period: 19.074ns (Maximum Frequency: 52.427MHz) Minimum input arrival time before clock: 18.505ns Maximum output required time after clock: 16.244ns Maximum combinational path delay: 17.761ns ========================================================================= Design Summary: Logic Utilization: Number of Slice Flip Flops: 666 out of 6,144 10% Number of 4 input LUTs: 1,185 out of 6,144 19% Logic Distribution: Number of occupied Slices: 768 out of 3,072 25% Number of Slices containing only related logic: 768 out of 768 100% Number of Slices containing unrelated logic: 0 out of 768 0% Total Number 4 input LUTs: 1,193 out of 6,144 19% Number used as logic: 1,185 Number used as a route-thru: 8 Number of bonded IOBs: 73 out of 325 22% IOB Flip Flops: 14 Number of Block RAMs: 2 out of 16 12% Number of GCLKs: 1 out of 4 25% Number of GCLKIOBs: 1 out of 4 25% Total equivalent gate count for design: 45,492 Additional JTAG gate count for IOBs: 3,552 Device Utilization Summary: Number of BLOCKRAMs 2 out of 16 12% Number of GCLKs 1 out of 4 25% Number of External GCLKIOBs 1 out of 4 25% Number of LOCed GCLKIOBs 0 out of 1 0% Number of External IOBs 73 out of 325 22% Number of LOCed IOBs 0 out of 73 0% Number of SLICEs 768 out of 3072 25% ************************** Generating Clock Report ************************** +---------------------+--------------+------+------+------------+-------------+ | Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| +---------------------+--------------+------+------+------------+-------------+ | m_clock_BUFGP | GCLKBUF1| No | 459 | 0.313 | 0.661 | +---------------------+--------------+------+------+------------+-------------+