Device utilization summary: --------------------------- Selected Device : 2s300efg456-6 Number of Slices: 625 out of 3072 20% Number of Slice Flip Flops: 140 out of 6144 2% Number of 4 input LUTs: 1152 out of 6144 18% Number of bonded IOBs: 66 out of 329 20% Number of GCLKs: 1 out of 4 25% Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ m_clock | BUFGP | 140 | -----------------------------------+------------------------+-------+ Timing Summary: --------------- Minimum period: 29.115ns (Maximum Frequency: 34.347MHz) Minimum input arrival time before clock: 14.329ns Maximum output required time after clock: 32.186ns Maximum combinational path delay: No path found ========================================================================= Design Summary: Logic Utilization: Number of Slice Flip Flops: 140 out of 6,144 2% Number of 4 input LUTs: 1,151 out of 6,144 18% Logic Distribution: Number of occupied Slices: 609 out of 3,072 19% Number of Slices containing only related logic: 609 out of 609 100% Number of Slices containing unrelated logic: 0 out of 609 0% Total Number of 4 input LUTs: 1,151 out of 6,144 18% Number of bonded IOBs: 65 out of 325 20% Number of GCLKs: 1 out of 4 25% Number of GCLKIOBs: 1 out of 4 25% Total equivalent gate count for design: 8,140 Additional JTAG gate count for IOBs: 3,168 Device Utilization Summary: Number of GCLKs 1 out of 4 25% Number of External GCLKIOBs 1 out of 4 25% Number of LOCed GCLKIOBs 0 out of 1 0% Number of External IOBs 65 out of 325 20% Number of LOCed IOBs 0 out of 65 0% Number of SLICEs 609 out of 3072 19% ************************** Generating Clock Report ************************** +---------------------+--------------+------+------+------------+-------------+ | Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| +---------------------+--------------+------+------+------------+-------------+ | m_clock_BUFGP | GCLKBUF1| No | 116 | 0.079 | 0.507 | +---------------------+--------------+------+------+------------+-------------+