Device utilization summary: --------------------------- Selected Device : 2s300efg456-6 Number of Slices: 759 out of 3072 24% Number of Slice Flip Flops: 156 out of 6144 2% Number of 4 input LUTs: 1417 out of 6144 23% Number of bonded IOBs: 66 out of 329 20% Number of GCLKs: 1 out of 4 25% Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ m_clock | BUFGP | 156 | -----------------------------------+------------------------+-------+ Timing Summary: --------------- Minimum period: 29.228ns (Maximum Frequency: 34.214MHz) Minimum input arrival time before clock: 13.811ns Maximum output required time after clock: 36.849ns Maximum combinational path delay: No path found ========================================================================= Design Summary: Logic Utilization: Number of Slice Flip Flops: 156 out of 6,144 2% Number of 4 input LUTs: 1,416 out of 6,144 23% Logic Distribution: Number of occupied Slices: 757 out of 3,072 24% Number of Slices containing only related logic: 757 out of 757 100% Number of Slices containing unrelated logic: 0 out of 757 0% Total Number 4 input LUTs: 1,418 out of 6,144 23% Number used as logic: 1,416 Number used as a route-thru: 2 Number of bonded IOBs: 65 out of 325 20% Number of GCLKs: 1 out of 4 25% Number of GCLKIOBs: 1 out of 4 25% Total equivalent gate count for design: 10,017 Additional JTAG gate count for IOBs: 3,168 Device Utilization Summary: Number of GCLKs 1 out of 4 25% Number of External GCLKIOBs 1 out of 4 25% Number of LOCed GCLKIOBs 0 out of 1 0% Number of External IOBs 65 out of 325 20% Number of LOCed IOBs 0 out of 65 0% Number of SLICEs 757 out of 3072 24% ************************** Generating Clock Report ************************** +---------------------+--------------+------+------+------------+-------------+ | Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| +---------------------+--------------+------+------+------------+-------------+ | m_clock_BUFGP | GCLKBUF1| No | 128 | 0.073 | 0.500 | +---------------------+--------------+------+------+------------+-------------+